From 6a23b2c599d1114f8db3e9cecf2b922e099e621e Mon Sep 17 00:00:00 2001 From: Peter Boyle Date: Mon, 17 Apr 2023 21:49:58 +0000 Subject: [PATCH] Drop UVM --- Grid/stencil/Stencil.h | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Grid/stencil/Stencil.h b/Grid/stencil/Stencil.h index 586b9642..40f224e6 100644 --- a/Grid/stencil/Stencil.h +++ b/Grid/stencil/Stencil.h @@ -339,8 +339,8 @@ public: // Vectors that live on the symmetric heap in case of SHMEM // These are used; either SHM objects or refs to the above symmetric heap vectors // depending on comms target - Vector u_simd_send_buf; - Vector u_simd_recv_buf; + std::vector u_simd_send_buf; + std::vector u_simd_recv_buf; int u_comm_offset; int _unified_buffer_size;